helloworldb0t's profile picture. Hello World in different programming languages.

Hello World

@helloworldb0t

Hello World in different programming languages.

#define HELLOWORLD [message] speaker="narrator" message=_"Hello World" [/message] #enddef #wml


module main; initial begin $display("Hello World!"); $finish; end endmodule #verilog


output "Hello World" #zim


func Main() Qout("Hello World") return 1 #xbase++


program helloworld print *,'Hello World' end program helloworld #fortran


,008015,022029,036043,050054,055062,063065,066077/333/M0762502F1.HELLO WORLD #ibm1401


#Hello World in Octave (octave.org) printf("Hello World\n"); #octave


use std.textio.all; entity hello_world is end hello_world; architecture behaviour of hello_world is begin process begin write (output, String'("Hello world!")); wait; end process; end behaviour; #vhdl


-module(erlang_hw). -export([start/0]). start() -> io:format("Hello World~n"). #erlang_hw


say "Hello World"! America is great. #TrumpScript


/* Macsyma */ print("Hello World"); #macsyma


-module(erlang_hw). -export([start/0]). start() -> io:format("Hello World~n"). #erlang_hw


PROGRAM HELLOW WRITE(UNIT=*, FMT=*) 'Hello World' END #fortran77


United States Trends

Loading...

Something went wrong.


Something went wrong.